본문 바로가기
경제플러스

반도체 전공정 장비 전문 기업, HPSP

by 디노지니 2024. 9. 2.

 

안녕하세요. 오늘 (주) HPSP 기업에 대해 살펴보려 합니다.

 

(주) HPSP는 반도체 제조공정의 고압 솔루션 장비를 연구개발, 제조 및 판매하는 기업입니다. HPSP가 세계최초로 개발하고 유일하게 생산하고 있는 고압수소 어닐링 장비는 반도체 전공정 분야에서 독자적인 영역을 구축해나가고 있습니다. 2024년 반기 실적 데이터를 보면, 전체 매출의 89.9%(585억) 가 고압수소 어닐링 장비(GENI-SYS) 제품 매출이었으며 나머지는 기타매출이 10.1%에 해당하는 구성을 보였습니다. 고압수소 어닐링 장비 연구개발, 제조 및 판매는 HPSP 기업의 중요한 사업 영역이라 할 수 있습니다. 

 


 

 

고압 수소 어닐링 장비 (GENI-SYS)

고압수소 어닐링 장비는 반도체 제조 전공정에 필요한 장비로 고압 수소 어닐링을 통해 반도체 소자 계면상의 결함을 제거하는 목적으로 필요한 장비입니다. 최근 반도체 회로패턴 미세화로 인해 반도체 소자 계면 결함 등의 문제점이 발견되어 트랜지스터의 성능 개선은 더욱 해결하기 어려운 과제가 되고 있습니다. 이를 해결하기 위한 고압 수소 어닐링 기술은 미세화에 가장 큰 걸림돌 중 하나인 고온 공정을 회피하면서 기존 공정 설계를 변형시키지 않고 트랜지스터 특성을 개선시키는 역할을 제공하고 있습니다. 

 

HPSP의 고압수소 어닐링 장비는 450℃ 이하의 온도 환경에서도 100% 수소농도를 유지하여 어닐링을 극대화시키므로 기존 고온 어닐링 장비와는 근본적인 차이가 존재합니다. HPSP는 고압에 대한 기술적 노하우 및 안정성 확보하기 위해 압력용기 안전 인증 획득과 안전을 위한 시스템 구성을 우선시하고 있습니다. 

 

28/32nm 이하 적용공정에 필수적으로 권장됨에 따라 향후 글로벌 반도체 업체들의 지속적인 공정 미세화로 인한 주요 수혜업체가 될 것으로 기업은 에상하고 있습니다. 또한, 반도체 기술의 발전에 따른 고집적화, 고전력화, 고속화에 따라 게이트에서 낮은 누설 전류가 요구되며 이러한 반도체 소자의 특성상 계면의 안정성 확보에 많은 연구개발이 진행되고 있으므로 소자 계면의 문제점 개선을 위한 시장의 수요는 계속 확장되고 있는 상황입니다. 

 

 

주요 거래처 및 분야

고압수소 어닐링 장비는 세계 유수의 반도체 제조사에서 채택하고 있는 장비로 시스템 반도체 및 메모리 반도체 분야까지 적용 가능 영역을 확대해 나가고 있습니다. 추후 자율주행 탑재 보조시스템(ADAS), CMOS Imange Sensor(CIS) 등에 적용할 수 있도록 사업 영역 확장을 준비하고 있습니다. 

 

HPSP는 주요 글로벌 시스템 반도체 제조업체 뿐 만 아니라 국내외 메모리 반도체 업체에도 납품하고 있습니다. 삼성전자, SK하이닉스 뿐 만 아니라 TSMC, 마이크론, 인텔 등 주요 반도체 글로벌 기업들이 모두 HPSP의 고압 어닐링 장비를 사용하고 있습니다. 세계 유수의 시스템 LSI 제조사에 지난 수년간 100여 대 이상 고압수소 어닐링(GENI-SYS)을 납품하였으며, 해당 각 소자 제조 고객사들의 대량 생산 공장에서 사용되고 있습니다. 고압수소 어닐링 장비 산업의 경우 지적재산권과 축적된 고난위 기술로 높은 진입장벽을 가진 시장이라 할 수 있으며 HPSP는 거의 독점적 지위를 누리고 있다 볼 수 있습니다. 

 

 

추후 전망

최근 자율주행, AI 등 4차산업 관련 분야의 빠른 성장과 생성 AI를 활용한 서비스가 본격화되면서 반도체 수요를 이끌고 있습니다. 또한, 부진했던 스마트폰이나 PC 등의 수요도 회복될 거라 보고 있습니다. 시장조사전문기관 WSTS에 따르면 2024년 반도체 산업의 시장 규모차세대 반도체 및 신규제품에 대한 투자전년 대비 16% 증가한 6,112억달러로 전망하고 있습니다. 특히 2024년 글로벌 전공정 반도체 장비매출액 또한 전년대비 2.8% 증가한 980억 달러가 예상되고 있습니다. 2025년에는 AI, 고성능 컴퓨팅(HPC) 및 메모리 반도체의 수요 회복과 신규 팹과 생산능력 확대 등으로 인해 올해보다 14.7% 상승한 1,130억 달러 규모가 전망되고 있습니다. 

 

 


 

우려 요인

첫째, 최근 HPSP는 실적 부진을 겪고 있는 상황입니다. 2024년 상반기 주요 비메모리 고객사들의 투자 축소로 인해 장비 매출이 감소하며 연초 예상치를 하회하는 실적을 기록했습니다. 하지만 올해 하반기에는 이연된 장비 매출이 반영되고, 중국 신규 고객사를 확보하면서 실적 개선이 예상된다 합니다. 

 

둘째, 고압수소 어닐링 장비 분야에서 독점적 위치를 상실할 수 있습니다. 최근 예스티 기업이 전공정 장비로 제품군을 확대해 나가며 미래 성장동력으로 고압 어닐링 장비 제품에 포커스를 두고 있습니다. 지난해 예스티가 SK하이닉스에 고압 어닐링 장비를 공급키로 하고 샘플 테스트까지 마쳤는데 이에 HPSP가 특허침해 소송을 제기했습니다. 예스티는 기존보다 공정 소요 시간을 20%가량 줄였다며 강점을 내세우고 있습니다. 특허 소송이 어떻게 마무리되는지 지켜보는 것이 필요한 상황입니다.